module a8 ( output wire [7:0] a ); reg [8:0] ar; initial ar= 9'd0; always #1 begin ar<= ar+2; if (ar[8]) $finish; end assign a= ar[7:0]; endmodule // a8