module m202; // 202. 16 bites címbusz, 2*16k+1*8k+6*1k (összesen 46k byte) memória // cim vezetekek wire a15,a14,a13,a12,a11,a10,a9,a8,a7,a6,a5,a4,a3,a2,a1,a0; // II es III szint engedelyezese wire sel2, sel3; // 2 db 16k-s memoria engedelyezo jele wire m16k_1, m16k_2; // 1 db 8k-s memoria engedelyezo jele wire m8k_1; // 6 db 1k-s memoria engedelyezo jele wire m1k_1, m1k_2, m1k_3, m1k_4, m1k_5, m1k_6; // cimek eloallitasa 1k-s lepesekben a16 addr ( .a({a15,a14,a13,a12,a11,a10,a9,a8,a7,a6,a5,a4,a3,a2,a1,a0}) ); // I szintu dekoder (2 -> 4) dec2 d1 ( .s0(a14), .s1(a15), .en_(1'b0), .q0_(m16k_1), .q1_(m16k_2), .q2_(sel2) ); // II szintu dekoder (1 -> 2) dec1 d2 ( .s0(a13), .en_(sel2), .q0_(m8k_1), .q1_(sel3) ); // III szintu dekoder (3 -> 8) dec3 d3 ( .s0(a10), .s1(a11), .s2(a12), .en_(sel3), .q0_(m1k_1), .q1_(m1k_2), .q2_(m1k_3), .q3_(m1k_4), .q4_(m1k_5), .q5_(m1k_6) ); initial begin $dumpfile("m202.vcd"); $dumpvars; end endmodule // m202