module a12 ( output wire [11:0] a ); reg [12:0] ar; initial ar= 13'd0; always #1 begin ar<= ar+13'd32; if (ar[12]) $finish; end assign a= ar[11:0]; endmodule // a12