module a16 ( output wire [15:0] a ); reg [16:0] ar; initial ar= 17'd0; always #1 begin ar<= ar+1024; if (ar[16]) $finish; end assign a= ar[15:0]; endmodule // a16